DE

“Hitting a golf ball on the moon”

Together with Dutch technology group ASML and a global network of partners, special-ists from the ZEISS division Semiconductor Manufacturing Technology (SMT), TRUMPF and the Fraunhofer Institute for Applied Optics and Precision Engineering have suc-ceeded in bringing EUV (extreme ultraviolet) lithography to serial production. Now the team has been nominated for the German Future Prize 2020. Team spokesperson Dr. Peter Kürz is responsible for the development and product launch of the next generation of EUV optics at ZEISS. In this interview, he reports on the complex development process with thousands of specialists, fascinating technological milestones and the potential of EUV lithography in the digital age.

Dr. Kürz, how did the EUV project start for you personally?

Dr. Peter Kürz: More than 20 years ago, in 1999, I took over the management of the EUV program at ZEISS. We saw our first developments in EUV lithography as early as the mid 1990s. In 1999 the decision was made to set up an alpha demo tool with our strategic partner ASML—a prototype to prove that EUV technologies were suited for serial production in the chip industry. At the time, we started with a team of a dozen of our own employees and worked on the technological foundations of EUV optics: the likes of mirror development, measuring technology, and the optical and mechatronic design. We also worked on strategies for ensuring the service life of the optics. Then the construction of the first prototype optics took more than six years. The first wafer exposures began in 2006 with our optics in the ASML machine. That was a very exciting time.

You are speaking on behalf of a team. Who are the other members and what contributions have they brought to the table?

Kürz: ASML is the only manufacturer of EUV lithography machines in the world and, as the integrator, has designed the architecture of the entire system and, above all, the EUV source. The key components of the machines are the high-performance laser for the EUV light source and the optical system. These come from ZEISS and TRUMPF: To produce the EUV light, ASML worked with TRUMPF to develop a unique light source. In the plasma source developed by ASML, 50,000 drops of tin per second are fired into a high vacuum where they are hit twice with pulses from a high-performance CO2 laser from TRUMPF. This ignites the tin plasma, which emits EUV radiation. Because EUV light is absorbed by all materials, even air, at ZEISS we have developed an optical system that is made up entirely of mirrors and operated in a high vacuum. The demands on EUV mirrors are extreme: They need to be produced with atomic precision and require a coating. The reflective coating is made up of more than 100 individual layers, each one no thicker than a few nanometers. Our network of partners from industry and science played an important role in the development. The Fraunhofer Society alone made crucial contributions to the development of the EUV coatings. Collectively, thousands of developers have been involved in the project over the years.

Were there any significant technological milestones on the road to serial production?

Kürz: After setting up the alpha demo tool, we developed EUV lithography with ASML and our partners over several generations before EUV scanners and optical systems up to serial production. Some important milestones were the first optic system with a numerical aperture of 0.33 for the NXE:3300 machine in 2012 and the first EUV series tool NXE:3400 five years later. Serial production in the chip industry began in 2018. The first smartphones with micro-chips manufactured using EUV lithography have been available since autumn 2019. This success is certain to continue. The next time you buy a high-end smartphone, there will be an EUV chip built in.

Today EUV lithography makes it possible to have 10 billion transistors on a surface the size of a fingernail. Were there moments in the development process when you doubted it would work?

Kürz: Over the last 20 years, there have been several candidates for “next generation lithography,” such as electron beams and ion beam processes or the wavelength increment of 193 to 157 nanometers. Despite great effort, none of these approaches led to success. Instead, the industry exhausted the potential of 193 nm technology; for instance through the use of water as an immersion fluid and with multiple exposure procedures. This way pushed technical and economic limits when it came to the production of even smaller structures. Now EUV lithography has been implemented. There have been several highs and lows on the way to serial production. Mirror measuring technology was and still is a huge challenge. It deals with sub-nanometer specifications. This level of accuracy requires extremely precise measurement technology. For the next generation of EUV optics, we will measure our mirrors in vacuum tubes with five meter diameters. The cost is enormous. But this is the only way to achieve the necessary precision in the measurements. The advances made in the EUV source also made a crucial contribution on the way to serial production. For chip manufacturers, the implementation pays off only after a minimum throughput of 125 coated wafers per hour. In 2014, it was only ten wafers an hour with EUV lithography. Now the hourly throughput has risen to more than 170 wafers—a breakthrough in terms of efficiency.

How can the sub-nanometer precision of the mirror be implemented in terms of manu-facture and measurement technology?

Kürz: With our EUV optics, it is possible to create structures smaller than 10 nm. Such precise imaging demands extreme accuracy from the mirrors. If one of our EUV mirrors were the size of Germany, the largest deviations from the ideal form would be only 0.1 mm large. These are the most precise mirrors in the world. In manufacturing and measuring these mirrors, ZEISS deals with more than 1,000 scientists and technicians. We use robots for the polishing and correct the outer layer with ion beams. As I mentioned, the measurement of the next generation of EUV optics will take place in a vacuum in order to avoid disruptions from air smears or acoustic vibrations. We need more than a year to manufacture one of these latest generation mirrors. In addition, there are extreme mechanical requirements of the optical system. The EUV mirror needs to stand completely still. The tilt stability of this mirror can also be under-stood best with an analogy. The actuators and sensors in the ZEISS projection optic work so precisely that, using this mirror, you could redirect a laser beam to hit a golf ball on the surface of the moon—that's a distance of nearly 400,000 km.

With 100,000 pulses per second, 30-kW lasers evaporate tin droplets into 220,000°C-hot plasma that emit light with a wavelength of 13.5 nm. Such extremes raise questions about the reliability and service life of EUV systems. Are there already any findings here?

Kürz: Recently there has been significant progress here, too. In addition to increasing the source performance, these have been essential for the throughput of EUV lithography. At a recent conference, ASML demonstrated values of 90% for the availability of the entire machine for chip production. Here, two factors are vitally important for EUV optics: oxidation and contamination of the optical surfaces. In order to avoid oxidation, ZEISS has developed special protective coatings. The contamination of the mirror by carbon is minimized by the use of a high vacuum. Together with ASML, we have also developed an innovative in-situ cleaning strategy. This made it possible to extend the service life of the EUV optics with regards to the requirements of the semiconductor industry.

For a long time, there were doubts about EUV lithography due to the high costs. Now your success has proved you right. What are the main advantages your approach offers for a digital future?

Kürz: The resolution of the optical system scales with the light's wavelength—and with it the dimensions of the semiconductor that can be manufactured. Previously, the smallest structures were manufactured via 193-nm immersion lithography. The leap to 13.5 nm opens up the potential to increase the transistor density on the chips by a factor of more than ten. This is an enormous improvement. There’s also the high productivity of EUV technology with over 170 wafers an hour. And lastly, the “similarity” to previously utilized optic lithography has the advantage of significantly simplifying the changeover of the process and infrastructure in chip factories.

Can you quantify the impact of EUV lithography for the players involved?

Kürz: The development of EUV lithography is a European success story. Here, the strong positioning of photonic technologies in Germany has been hugely beneficial. The combined expertise of a high technology network of more than 1,200 suppliers, universities and research institutes fed into the result. The deciding factor was the persistence of the companies involved and the support and backing of the development by numerous German and European research projects. The support of the German Federal Ministry of Education and Research is to be highlighted in particular. This resulted in a future technology of superlatives, secured by more than 2,000 patents. Equally large is the economic significance for the semiconductor industry and the companies involved: EUV development at ZEISS began in 1995 with only a handful of employees. To date more than 2,300 jobs have been created in high technology. A similar development can be seen at TRUMPF. In 2019, ZEISS and TRUMPF together achieved well over a billion euros revenue in their EUV business areas, and that is likely to increase significantly again in 2020. The future is bright. Currently there are more than 1,000 employees at ZEISS working on developing and producing the next generation of EUV optics. EUV technology offers the potential to further massively shrink semiconductor structures in years to come—in line with a more energy efficient, higher performance and also a more economically successful digitization.